[openib-general] OpenSM work Q1 + Apr.

Hal Rosenstock halr at voltaire.com
Wed May 4 13:32:08 PDT 2005


On Wed, 2005-05-04 at 16:29, Eitan Zahavi wrote:
> As was discussed more then once in several forums, my work on gen1 is
> ported by Hal and Shahar to gen2. Actually, the code can be directly
> copied over except for the "vendor layer" which are the
> osm_vendoc_mlx_* files.

Actually I don't think so for a number of reasons:

1. copyright is different

2. I am not sure you have merged changes from gen2 OpenSM back into the
gen1 OpenSM.

-- Hal




More information about the general mailing list